阿邹 阿邹
3年前
笔趣阁小说api
笔趣阁api小说api,提供小说相关api接口,目前支持笔趣阁(https://m.bqkan.com/)。ip地址:http://49.234.123.245:8082笔趣阁(https://m.bqkan.com/)1.首页ip/getHome2.小说分类ip/
Easter79 Easter79
2年前
springboot+Swagger2构建API文档
Swagger2笔记配置很简单,如下所示:@Configuration@EnableSwagger2publicclassSwaggerConfig{@BeanpublicDocketcreateRestApi(){retur
Stella981 Stella981
2年前
InnoDB Buffer Pool巧配置全解
作者:M哥知数堂MySQL第8期学员、知数堂藏经阁项目星耀队队长一、简介InnoDB维护了一个缓存数据和索引信息到内存的存储区叫做BufferPool,它会将最近访问的数据缓存到缓冲区。我们通过配置各个BufferPool的参数,可以显著提高MySQL的性能。
Wesley13 Wesley13
2年前
Java面试突击第一版发布啦!
介绍你可以把这个文档看做JavaGuide的精简版,适合面试前的突击。笔主开源的JavaGuide(Java学习面试指南)地址:https://github.com/Snailclimb/JavaGuide,目前Star数已经26.3k。公众号后台回复关键字"Java面试突击"即可获取下载地址,该资源笔主就永久免费提供给所有人。
Wesley13 Wesley13
2年前
2018.9.20笔记
Nginx默认虚拟主机去掉usr/local/nginx/conf/nginx.conf中的内容Server{.....}vim/usr/local/nginx/conf/nginx.conf//增加includevhost/.conf;
Wesley13 Wesley13
2年前
360笔试
/序列重组时间限制:C/C语言1000MS;其他语言3000MS内存限制:C/C语言65536KB;其他语言589824KB题目描述:在一个古老的国度,这个国家的人并不懂得进位,但是对取模情有独钟,因此诞生了一个经典的问题,给出两个在m进制下含有n位的数字,你可以分别将这两个数各位上的数字重新排列,然
Wesley13 Wesley13
2年前
MySQL数据库设计总结
阅读原文(https://www.oschina.net/action/GoToLink?urlhttps%3A%2F%2Fwww.qcloud.com%2Fcommunity%2Farticle%2F164816001481011831%3FfromSource%3Dgwzcw.57281.57281.57281),更多技术干货,请访问腾云阁