FPGA 高手养成记

Wesley13
• 阅读 706

来源:公众号【ZYNQ】

ID   :FreeZynq

整理 :李肖遥

本文目录

  1. 前言

  2. 状态机简介

  3. 状态机分类
    Mealy 型状态机
    Moore 型状态机

  4. 状态机描述
    一段式状态机
    二段式状态机
    三段式状态机

  5. 状态机优缺点

  6. 总结

  7. 扩展-四段式状态机

01. 前言

状态机是FPGA设计中一种非常重要、非常根基的设计思想,堪称FPGA的灵魂,贯穿FPGA设计的始终。

02. 状态机简介

什么是状态机:状态机通过不同的状态迁移来完成特定的逻辑操作(时序操作)状态机是许多数字系统的核心部件, 是一类重要的时序逻辑电路。通常包括三个部分:
下一个状态的逻辑电路
存储状态机当前状态的时序逻辑电路
输出组合逻辑电路

03. 状态机分类

通常, 状态机的状态数量有限, 称为有限状态机(FSM) 。由于状态机所有触发器的时钟由同一脉冲边沿触发, 故也称之为同步状态机。

根据状态机的输出信号是否与电路的输入有关分为 Mealy 型状态机和 Moore 型状态机

3.1,Mealy 型状态机

电路的输出信号不仅与电路当前状态有关, 还与电路的输入有关

FPGA 高手养成记

3.2,Moore 型状态机

电路的输出仅仅与各触发器的状态, 不受电路输入信号影响或无输入

FPGA 高手养成记

状态机的状态转移图, 通常也可根据输入和内部条件画出。一般来说, 状态机的设计包含下列设计步骤:

  • 根据需求和设计原则, 确定是 Moore 型还是 Mealy 型状态机;

  • 分析状态机的所有状态, 对每一状态选择合适的编码方式, 进行编码;

  • 根据状态转移关系和输出绘出状态转移图;

  • 构建合适的状态机结构, 对状态机进行硬件描述。

04. 状态机描述

状态机的描述通常有三种方法, 称为一段式状态机, 二段式状态机和三段式状态机。
状态机的描述通常包含以下四部分:

  • 利用参数定义语句 parameter 描述状态机各个状态名称, 即状态编码。状态编码通常有很多方法包含自然二进制编码, One-hot 编码,格雷编码码等;

  • 用时序的 always 块描述状态触发器实现状态存储;

  • 使用敏感表和 case 语句(也采用 if-else 等价语句) 描述状态转换逻辑;

  • 描述状态机的输出逻辑。

下面根据状态机的三种方法来具体说明

4.1,一段式状态机

 1module detect_1( 2input clk_i, 3input rst_n_i, 4output out_o 5); 6reg out_r; 7//状态声明和状态编码 8reg [1:0] state; 9parameter [1:0] S0=2'b00;10parameter [1:0] S1=2'b01;11parameter [1:0] S2=2'b10;12parameter [1:0] S3=2'b11;13always@(posedge clk_i)14begin15  if(!rst_n_i)begin16    state<=0;17    out_r<=1'b0;18  end19  else20    case(state)21      S0 :22      begin23        out_r<=1'b0;24        state<= S1;25      end26      S1 :27      begin28        out_r<=1'b1;29        state<= S2;30      end31      S2 :32      begin33        out_r<=1'b0;34        state<= S3;35      end36      S3 :37        begin38        out_r<=1'b1;39      end40    endcase41end42assign out_o=out_r;43endmodul44

一段式状态机是应该避免使用的, 该写法仅仅适用于非常简单的状态机设计。

4.2,两段式状态机

 1module detect_2( 2    input clk_i, 3    input rst_n_i, 4    output out_o 5  ); 6  reg out_r; 7  //状态声明和状态编码 8  reg [1:0] Current_state; 9  reg [1:0] Next_state;10  parameter [1:0] S0=2'b00;11  parameter [1:0] S1=2'b01;12  parameter [1:0] S2=2'b10;13  parameter [1:0] S3=2'b11;14  //时序逻辑: 描述状态转换15  always@(posedge clk_i)16  begin17    if(!rst_n_i)18      Current_state<=0;19    else20      Current_state<=Next_state;21  end22  //组合逻辑:描述下一状态和输出23  always@(*)24  begin25    out_r=1'b0;26    case(Current_state)27      S0 :28        begin29          out_r=1'b0;30          Next_state= S1;31        end32      S1 :33        begin34          out_r=1'b1;35          Next_state= S2;36        end37      S2 :38        begin39          out_r=1'b0;40          Next_state= S3;41        end42      S3 :43        begin44          out_r=1'b1;45          Next_state=Next_state;46        end47    endcase48  end49  assign out_o = out_r;50endmodule51

两段式状态机采用两个 always 模块实现状态机的功能, 其中一个 always 采用同步时序逻辑描述状态转移, 另一个 always 采用组合逻辑来判断状态条件转移。

4.3,三段式状态机

 1module detect_3( 2    input clk_i, 3    input rst_n_i, 4    output out_o 5  ); 6  reg out_r; 7  //状态声明和状态编码 8  reg [1:0] Current_state; 9  reg [1:0] Next_state;10  parameter [1:0] S0=2'b00;11  parameter [1:0] S1=2'b01;12  parameter [1:0] S2=2'b10;13  parameter [1:0] S3=2'b11;14  //时序逻辑: 描述状态转换15  always@(posedge clk_i)16  begin17    if(!rst_n_i)18      Current_state<=0;19    else20      Current_state<=Next_state;21  end22  //组合逻辑: 描述下一状态23  always@(*)24  begin25    case(Current_state)26      S0:27        Next_state = S1;28      S1:29        Next_state = S2;30      S2:31        Next_state = S3;32      S3:33        begin34          Next_state = Next_state;35        end36      default :37      Next_state = S0;38    endcase39  end40  //输出逻辑: 让输出 out, 经过寄存器 out_r 锁存后输出, 消除毛刺41  always@(posedge clk_i)42  begin43    if(!rst_n_i)44      out_r<=1'b0;45    else46      begin47        case(Current_state)48          S0,S2:49            out_r<=1'b0;50          S1,S3:51            out_r<=1'b1;52          default :53            out_r<=out_r;54        endcase55      end56  end5758  assign out_o=out_r;59endmodule60

三段式状态机在第一个 always 模块采用同步时序逻辑方式描述状态转移, 第二个always 模块采用组合逻辑方式描述状态转移规律, 第三个 always 描述电路的输出。通常让输出信号经过寄存器缓存之后再输出, 消除电路毛刺。

05. 状态机优缺点

1、一段式状态机

只涉及时序电路,没有竞争与冒险,同时消耗逻辑比较少。

但是如果状态非常多,一段式状态机显得比较臃肿,不利于维护。

2、两段式状态机

当一个模块采用时序(状态转移),一个模块采用组合时候(状态机输出),组合逻辑电路容易造成竞争与冒险;当两个模块都采用时序,可以避免竞争与冒险的存在,但是整个状态机的时序上会延时一个周期。

两段式状态机是推荐的状态机设计方法。

3、三段式状态机

三段式状态机在状态转移时采用组合逻辑电路+格雷码,避免了组合逻辑的竞争与冒险;状态机输出采用了同步寄存器输出,也可以避免组合逻辑电路的竞争与冒险;采用这两种方法极大的降低了竞争冒险。并且在状态机的采用这种组合逻辑电路+次态寄存器输出,避免了两段式状态机的延时一个周期(三段式状态机在上一状态中根据输入条件判断当前状态的输出,从而在不插入额外时钟节拍的前提下,实现寄存器的输出)。

三段式状态机也是比较推崇的,主要是由于维护方便, 组合逻辑与时序逻辑完全独立。

06. 总结

灵活选择状态机,不一定要拘泥理论,怎样方便怎样来

07.扩展

四段式不是指三个always代码,而是四段程序。使用四段式的写法,可参照明德扬GVIM特色指令Ztj产生的状态机模板。

明·德·扬四段式状态机符合一次只考虑一个因素的设计理念。

  • 第一段代码,照抄格式,完全不用想其他的。

  • 第二段代码,只考虑状态之间的跳转,也就是说各个状态机之间跳转关系。

  • 第三段代码,只考虑跳转条件。

  • 第四段,每个信号逐个设计。

有兴趣的话可以自己去学习一下,或者http://www.mdyedu.com/product/299.html自行看视频。

‧  END   ‧**

如果对您有用,给我点个[赞]、[在看]吧

FPGA 高手养成记

本文分享自微信公众号 - FPGA开源工作室(leezym0317)。
如有侵权,请联系 support@oschina.cn 删除。
本文参与“OSC源创计划”,欢迎正在阅读的你也加入,一起分享。

点赞
收藏
评论区
推荐文章
blmius blmius
2年前
MySQL:[Err] 1292 - Incorrect datetime value: ‘0000-00-00 00:00:00‘ for column ‘CREATE_TIME‘ at row 1
文章目录问题用navicat导入数据时,报错:原因这是因为当前的MySQL不支持datetime为0的情况。解决修改sql\mode:sql\mode:SQLMode定义了MySQL应支持的SQL语法、数据校验等,这样可以更容易地在不同的环境中使用MySQL。全局s
Jacquelyn38 Jacquelyn38
2年前
2020年前端实用代码段,为你的工作保驾护航
有空的时候,自己总结了几个代码段,在开发中也经常使用,谢谢。1、使用解构获取json数据let jsonData  id: 1,status: "OK",data: 'a', 'b';let  id, status, data: number   jsonData;console.log(id, status, number )
皕杰报表之UUID
​在我们用皕杰报表工具设计填报报表时,如何在新增行里自动增加id呢?能新增整数排序id吗?目前可以在新增行里自动增加id,但只能用uuid函数增加UUID编码,不能新增整数排序id。uuid函数说明:获取一个UUID,可以在填报表中用来创建数据ID语法:uuid()或uuid(sep)参数说明:sep布尔值,生成的uuid中是否包含分隔符'',缺省为
Wesley13 Wesley13
2年前
FPGA三段式状态机的思维陷阱
用三段式描述状态机的好处,国内外各位大牛都已经说的很多了,大致可归为以下三点:1.将组合逻辑和时序逻辑分开,利于综合器分析优化和程序维护;2.更符合设计的思维习惯;3.代码少,比一段式状态机更简洁。对于第一点,我非常认可,后两点在CliffordE.Cummings著的(SynthesizableFiniteStateMachine
Wesley13 Wesley13
2年前
EA&UML日拱一卒
点击上方【面向对象思考】可快速关注本公众号!行为状态机概念以下内容摘自UML2,今天的内容是说明状态机具有上下文类目的情况。行为状态机可以用于定义下面的场景(不限于)主动类的类目行为一个行为化类目的除了类目行为以外的拥有行为。如果状态机有某种行为类目
Wesley13 Wesley13
2年前
verilog状态机控制led闪烁
一、功能用三段式状态机控制LED,按键,按下是0.5s闪烁一次,再次按按键A是1s闪烁。二、状态机转移图 !(https://images2018.cnblogs.com/blog/1107111/201809/1107111201809092215235051784921687.png)三、连线!(https://oscim
Wesley13 Wesley13
2年前
MySQL部分从库上面因为大量的临时表tmp_table造成慢查询
背景描述Time:20190124T00:08:14.70572408:00User@Host:@Id:Schema:sentrymetaLast_errno:0Killed:0Query_time:0.315758Lock_
Stella981 Stella981
2年前
Mealy和moore型状态机的主要区别
状态机一般分为三种类型:1、Moore型状态机:下一状态只由当前状态决定,即次态f(现状,输入),输出f(现状);2、Mealy型状态机:下一状态不但与当前状态有关,还与当前输入值有关,即次态f(现状,输入),输出f(现状,输入);3、混合型状态机。Moore型与Mealy型两种状态机的不同点在于:
京东云开发者 京东云开发者
4个月前
玩转Spring状态机 | 京东云技术团队
说起Spring状态机,大家很容易联想到这个状态机和设计模式中状态模式的区别是啥呢?没错,Spring状态机就是状态模式的一种实现,在介绍Spring状态机之前,让我们来看看设计模式中的状态模式。1\.状态模式状态模式的定义如下:状态模式(StatePat
Python进阶者 Python进阶者
3个月前
Excel中这日期老是出来00:00:00,怎么用Pandas把这个去除
大家好,我是皮皮。一、前言前几天在Python白银交流群【上海新年人】问了一个Pandas数据筛选的问题。问题如下:这日期老是出来00:00:00,怎么把这个去除。二、实现过程后来【论草莓如何成为冻干莓】给了一个思路和代码如下:pd.toexcel之前把这